-----BEGIN PRIVACY-ENHANCED MESSAGE----- Proc-Type: 2001,MIC-CLEAR Originator-Name: webmaster@www.sec.gov Originator-Key-Asymmetric: MFgwCgYEVQgBAQICAf8DSgAwRwJAW2sNKK9AVtBzYZmr6aGjlWyK3XmZv3dTINen TWSM7vrzLADbmYQaionwg5sDW3P6oaM5D3tdezXMm7z1T+B+twIDAQAB MIC-Info: RSA-MD5,RSA, GHovLFfs+F03SeWcpp7azGHqW5toVSc2xSPkfk/gYMxf5ktS8fNKnJXCIeF46QHJ owKrDGKihtQFzdC6bZtEHQ== 0001341004-06-002453.txt : 20060905 0001341004-06-002453.hdr.sgml : 20060904 20060905144203 ACCESSION NUMBER: 0001341004-06-002453 CONFORMED SUBMISSION TYPE: 6-K PUBLIC DOCUMENT COUNT: 3 CONFORMED PERIOD OF REPORT: 20060905 FILED AS OF DATE: 20060905 DATE AS OF CHANGE: 20060905 FILER: COMPANY DATA: COMPANY CONFORMED NAME: ASML HOLDING NV CENTRAL INDEX KEY: 0000937966 STANDARD INDUSTRIAL CLASSIFICATION: SPECIAL INDUSTRY MACHINERY, NEC [3559] IRS NUMBER: 000000000 FISCAL YEAR END: 1231 FILING VALUES: FORM TYPE: 6-K SEC ACT: 1934 Act SEC FILE NUMBER: 000-25566 FILM NUMBER: 061073673 BUSINESS ADDRESS: STREET 1: DE RUN 6501 CITY: DR VELDHOVEN STATE: P7 ZIP: 5504 BUSINESS PHONE: 31402683000 MAIL ADDRESS: STREET 1: P.O. BOX 324 CITY: AH VELDHOVEN STATE: P7 ZIP: 5500 FORMER COMPANY: FORMER CONFORMED NAME: ASM LITHOGRAPHY HOLDING NV DATE OF NAME CHANGE: 19950215 6-K 1 asml6k_august2006.htm

 

SECURITIES AND EXCHANGE COMMISSION

Washington, D.C. 20549

______________________

 

FORM 6-K

 

REPORT OF A FOREIGN ISSUER

PURSUANT TO RULE 13A-16 OR 15D-16

OF THE SECURITIES EXCHANGE ACT OF 1934

For August 2006

 

______________________

 

ASML Holding N.V.

 

De Run 6501

5504 DR Veldhoven

The Netherlands

(Address of principal executive offices)

______________________

 

Indicate by check mark whether the registrant files or will file annual reports under cover of Form 20-F or Form 40-F.

 

Form 20 F  x

Form 40F  o

 

Indicate by check mark whether the registrant by furnishing the information contained in this Form is also thereby furnishing the information to the Commission pursuant to Rule 12g3-2(b) under the Securities Exchange Act of 1934.

 

Yes o

No x

                

 

If ''Yes'' is marked, indicate below the file number assigned to the registrant in connection with Rule 12g3-2(b):

 

 



 

 

 


Exhibits

 

99.1

"ASML Has Shipped the Industry’s First EUV Tools to CNSE’s Albany NanoTech and IMEC," press release dated August 29, 2006

 

"Safe Harbor" Statement under the U.S. Private Securities Litigation Reform Act of 1995: the matters discussed in this document may include forward-looking statements that are subject to risks and uncertainties including, but not limited to, economic conditions, product demand and industry capacity, competitive products and pricing, manufacturing efficiencies, new product development, ability to enforce patents, the outcome of intellectual property litigation, availability of raw materials and critical manufacturing equipment, trade environment, and other risks indicated in filings with the U.S. Securities and Exchange Commission.

 

2

 



 

 

SIGNATURES

 

Pursuant to the requirements of the Securities Exchange Act of 1934, the registrant has duly caused this report to be signed on its behalf by the undersigned, thereunto duly authorized.

 

 

ASML HOLDING N.V. (Registrant)

 

Date: September 5, 2006

By:

/s/ Peter T.F.M. Wennink

 

 

Peter T.F.M. Wennink

 

 

Executive Vice President

 

 

and Chief Financial Officer

 

 

 

3

 

 

 

GRAPHIC 2 img1.jpg GRAPHIC begin 644 img1.jpg M_]C_X``02D9)1@`!`0$`8`!@``#_VP!#``H'!P@'!@H("`@+"@H+#A@0#@T- M#AT5%A$8(Q\E)"(?(B$F*S7J#A(6&AXB)BI*3E)66EYB9FJ*CI*6FIZBI MJK*SM+6VM[BYNL+#Q,7&Q\C)RM+3U-76U]C9VN'BX^3EYN?HZ>KQ\O/T]?;W M^/GZ_]H`"`$!```_`/9JSM;UNUT*Q^TW.YW=@D,$8W23R'HB#N37GG_"0ZFN ML_VI)(9KHR>08H#O3.<_9(!T9N`9)3PN,"O0]$UJVUNP%S;NC,I*2!&W*''# M!6P-P!XW#@XK1HHHHHIN]-VW<-WIGFG44444453U/4[;2;*2[NF;9&I8JBEG M8#DX4 MZ9>65Z-/N+1Q-(HMDAMW,D M\D3+/$TKECM/RL,GL"!^=5_C1XXU33M1B\/:9<26B&$2W$L9VN^XD!0>H''; MKFL#3?@YXIU+2H=574K6*6>,2QQO*Y8@C(RP&`:]7^'FF:IHW@V.#7IYGN@\ MC2">0OY:@X`!/;`S^-?/%YK6K7-W>W-KJ%ZELLI8`7#X12WRCK^%>]>!==2[ M^$\6H7LAE^RVTJ3L[$D[,]3UZ8KY^.K:V8&N!J5\(@^S/VA^"02!U]!7T)'? MG5O@T;YG8R-I#$N&(;>J$9SUSN6N$^!>HWU[XDU%;J]N)U6SR!+*S`'>OJ:] MPKROQ#-J=QK#PZG'=174)\V.*`YX7I<6;=2RC!>(_>!/I6;9P7$]Y;Q69`G= M_M$#66,*6./M5MG@H3Q+">G-6K@:A_:;B4S-I0<_;#&")#)G]Z8<_O?LOF;= MV/?'%1:KV8\L>8WF&U7&[R)3_RUMV&3'(,E>E>KC.!GKWJAKVJQ:'H-[JDWW+6%I,> MI`X'XG`KYU^'WB72]'\67&LZ\MQ.\L;A!#&'+2.?F)R1V)_.KOA"9O!?Q>6S MEW10FX:U<-Q\C_X@M`=L:2XF@;V!YQ]`0:]+3Q^FO?";5==$8M[F&!X)8PW+9:SANHL> M@*EA_P"A&L'X!?\`(SZE_P!>7_LZU[Q6=K>BVFNV'V6ZW(RL'AFC.V2"0='0 M]B*YY_(\%:>O[I+[6KYF*1QKY<(EP`SD8'>N.AU*^;45U*&Z-Q>3 MDR+/N\H703^(D_ZJSC_.0BNO(LO&UA!+%.+36;>(R6\YC`,D9^4L8RB:+::'8):VL>T*,=2<#).T$\A02<#/`K1KS3XVWMZ?#=MH]A:W$[ MWDN^7R8V;")S@X'=B/RJ/X;_``UT9?#%AJ.LZ4)-2D&OHNO0+-:?V9?;"XW@6[X)7(!Z>YKW23PW)'\##I`A;SQ MIWG&,*=WF9\PC'KGBN-^&L6HV_A#Q?IEQ874?F632Q!X6&X[&4@<+OB-XFU.6TEBBLUCA,GF2V14 M$Y`QR?<_E7K]4]5TJTUFPDLKV(2PR8W*21G!!P<$<9`R.]>;W_AW4;;5?[., M`O[B[8,N\82Z*X^>;;Q';Q9`6(?>(KOM`T"'0[>0F1KJ]N6WW5W(/GF?^BCH 8%'`%:U%%%%)0%5?NJ!GT%+1111117__9 ` end EX-99 3 exhibit99-1.htm EXHIBIT 99.1 - PRESS RELEASE

Exhibit 99.1

 


 

ASML Has Shipped the Industry’s First EUV Tools to CNSE’s Albany NanoTech and IMEC

 

VELDHOVEN, the Netherlands, August 29, 2006 – ASML Holding NV (ASML) announced today that it shipped two extreme ultraviolet (EUV) Alpha Demo Tools (ADT) to customers. Both the College of Nanoscale Science and Engineering (CNSE) of the State University of New York (SUNY) at Albany, N.Y., and the nanoelectronics research institute IMEC in Leuven, Belgium, have received these industry first, full field EUV systems. Both institutions will use these R&D tools after installation to conduct ongoing research into this next generation lithography technology. Shipments were possible after ASML achieved key lithography performance targets including full field scanning imaging and overlay.

 

“ASML sets another major industry milestone with shipment of two full field EUV lithography systems,” said Martin van den Brink, executive vice president, marketing and technology, ASML. “ASML’s investment in EUV demonstrates our commitment to developing long term solutions for our customers and maintaining our technology leadership. Many industry partners will now get a chance to work with EUV technology which should help EUV enter into the next important phase of development. While these tools are research systems, pre-production EUV lithography tools could be shipped as early as 2009 depending on customer commitment.”

 

ASML has been leading the development of EUV technology. The company considers EUV as the most attractive technology for 32 nm and beyond, because of its potential to be the most cost effective technology and its extendibility to multiple nodes. Earlier this year at the SPIE Microlithography conference the company presented proof-of-concept 35-nm resist images obtained over a full slit of 26 mm, made on one of these systems. ASML expects that these alpha demo tools to be essential in developing the infrastructure for EUV lithography.

 

“We welcome the delivery of an EUV ADT,” said Luc Van den hove, Vice President Silicon Process and Device Technology at IMEC. “EUV is the most likely candidate technology for the 32-nm half-pitch node. IMEC and its more than 30 lithography program partners have spent much of the last two years in our advanced lithography program working on photoresist for both ArF immersion and EUV lithography. We're eager to expand our program with the integration of an EUV alpha exposure tool.”

 

“This is a critical step in the development of EUV technology and readying it for eventual commercialization,” said Dr. James Ryan, professor of nanoscience and vice president of technology at CNSE. “With the availability of EUV photomasks, and the presence of a critical mass of semiconductor tool suppliers and computer chip manufacturers at CNSE’s Albany NanoTech site, when coupled with ASML's earlier

 



 

demonstration of operating wafer and reticle stages in a vacuum environment, integration of an alpha exposure tool at CNSE is a logical progression in preparing both the technology and the industry for adopting the EUV technology.”

 

ASML and its partners will now concentrate on integration of the systems at the customer’s site.

 

About ASML

 

ASML is the world's leading provider of lithography systems for the semiconductor industry, manufacturing complex machines that are critical to the production of integrated circuits or chips. Headquartered in Veldhoven, the Netherlands, ASML is traded on Euronext Amsterdam and NASDAQ under the symbol ASML.

 

About CNSE

 

The College of Nanoscale Science and Engineering of the University at Albany-State University of New York is the first college in the world devoted exclusively to the research, development and deployment of innovative nanoscience, nanoengineering, nanobioscience and nanoeconomics concepts, and in May 2006, it was ranked by Small Times magazine as the nation’s number one college for nanotechnology and microtechnology. CNSE’s Albany NanoTech complex is the most advanced research facility of its kind at any university in the world: a $3 billion, 450,000-square-foot complex that attracts corporate partners from around the world and offers students a one-of-a-kind academic experience, and it is growing. The UAlbany Nanocollege is also home to the New York State Center of Excellence in Nanoelectronics. The CNSE complex, financed through more than $500 million in governmental support and over $2.5 billion in corporate investments, houses the only pilot prototyping facilities in the academic world for the two standard sizes in computer chip design, the 200-millimeter (or 8-inch) wafer, and the 300-millimeter (or 12-inch) wafer. CNSE has more than 150 U.S. and worldwide partners, including some of the world’s largest semiconductor and semiconductor-related tool manufacturing companies. For more information, visit the CNSE Web site at http://cnse.albany.edu.

 

About IMEC

 

IMEC is a world-leading independent research center in nanoelectronics and nanotechnology. Its research focuses on the next generations of chips and systems, and on the enabling technologies for ambient intelligence. IMEC’s research bridges the gap between fundamental research at universities and technology development in industry. Its unique balance of processing and system know-how, intellectual property portfolio, state-of-the-art infrastructure and its strong network of companies, universities and research institutes worldwide position IMEC as a key partner for shaping technologies for future systems. As an expansion of its wireless autonomous microsystems research, IMEC has created a legal entity in the Netherlands. Stichting IMEC Nederland runs activities at the Holst Centre, an independent R&D institute that develops generic technologies and technology platforms for autonomous wireless transducer solutions and systems-in-foil.

 

2

 



 

IMEC is headquartered in Leuven, Belgium, and has representatives in the US, China and Japan. Its staff of more than 1450 people includes more than 500 industrial residents and guest researchers. In 2005, its revenue was EUR 197 million. Further information on IMEC can be found at www.imec.be.

 

ASML

 

Tom McGuire

Vice President Communications

corpcom@asml.com

tel: +31.40.268.5758

fax: +31.40.268.3655

 

Angelique Paulussen

Senior Director Corporate Communications

corpcom@asml.com  

tel: +31.40.268.6572

fax: +31.40.268.3655

 

Craig DeYoung

Vice President Investor Relations

craig.deyoung@asml.com

tel: +1.480.383.4005

fax: +1.480.383.3976

 

Franki D'Hoore

Director European Investor Relations

franki.dhoore@asml.com

tel: +31.40.268.6494

fax: +31.40.268.3655

 

 

3

 

 

 

-----END PRIVACY-ENHANCED MESSAGE-----