EX-99 5 ex99_3prel.htm EXHIBIT 99.3 - PRESS RELEASE

Exhibit 99.3

 

ASML Expands Immersion Product Suite with Introduction of Advanced 40nm Immersion System

 

SAN FRANCISCO, California – SEMICON West 2006 – July 11, 2006 – ASML Holding NV (ASML) today introduced the semiconductor industry’s most advanced lithography system, the ASML TWINSCAN™ XT:1900i. In combination with ASML proprietary low k1 capabilities, this new system extends optical lithography for volume production to 40-nanometer (nm) and below. ASML’s newest 193-nm wavelength immersion scanner surpasses the company's previous numerical aperture (NA) achievements, delivering a new industry NA benchmark of 1.35, the near practical limit for water-based immersion technology.

 

With the introduction of this latest immersion system, ASML now offers immersion solutions for its customers for resolutions from 65- to 40-nm with three different products that allow for seamless system transition by customers: from one resolution to the next. The XT:1400i is already being used in production and the first qualification lots have now been produced on the XT:1700i with production ramp-up at customer sites expected to follow as early as Q4 2006.

 

“This fifth generation immersion system builds upon the success of its predecessors to satisfy customer’s needs for continuous shrink. The XT:1900i is an immersion system for the most critical layers," said Martin van den Brink, executive vice president, marketing and technology, ASML. “We introduced our first immersion R&D system in Q4 2004 and, so far, ASML has shipped 20 ASML immersion systems to three continents. We continue our immersion leadership and we now offer our customers a full suite of immersion products.”

 

The new XT:1900i is based on previous generations of immersion technology proven on systems installed at customer sites worldwide. The XT:1900i leverages the TWINSCAN platform’s dual wafer stage functionality for parallel dry metrology and wet exposure to further extend ASML’s industry leading productivity to 131 wafers per hour (125 exposures). To support the 40-nm production application, overlay performance is further enhanced. The proven in-line catadioptric lens design ensures reticle compatibility with previous systems.

 

While the XT:1700i is ideally suited for volume production at the 45-nm node and is currently being shipped in volume to customers, the XT:1900i will specifically enable volume production for logic devices down to 32-nm and memory devices at 40-nm and below.

 

ASML expects to begin shipping the XT:1900i by mid 2007.

 

About ASML

 

ASML is the world's leading provider of lithography systems for the semiconductor industry, manufacturing complex machines that are critical to the production of integrated circuits or chips. Headquartered in Veldhoven, the Netherlands, ASML is traded on Euronext Amsterdam and NASDAQ under the symbol ASML.

 

 

1

 



 

 

For more information on lithography and definitions of technical terms, please see the Lithography Glossary in the “Press” section of ASML.com.

 

ASML

 

Tom McGuire

Vice President Communications

corpcom@asml.com

tel: +31.40.268.5758

fax: +31.40.268.3655

 

Angelique Paulussen

Senior Director Corporate Communications

corpcom@asml.com

tel: +31.40.268.6572

fax: +31.40.268.3655

 

Craig DeYoung

Vice President Investor Relations

craig.deyoung@asml.com

tel: +1.480.383.4005

fax: +1.480.383.3976

 

Franki D'Hoore

Director European Investor Relations

franki.dhoore@asml.com

tel: +31.40.268.6494

fax: +31.40.268.3655

 

 

 

2