EX-99.2 3 d133133dex992.htm EX-99.2 EX-99.2

Exhibit 99.2

 

LOGO

Market drivers, company strategy and creating sustainable value
Peter Wennink
President and
Chief Executive Officer

 


LOGO

Industry megatrends are fueling market growth into the future
• Global megatrends in the electronics industry, supported by a highly profitable and fiercely innovative ecosystem, are expected to continue to fuel growth across the semiconductor market
• Countries push for technological sovereignty will drive increased capital intensity
• Translating to increased wafer demand at both advanced and mature nodes.
Enabling ASML’s strong growth opportunity into the next decade
• Investments in wafer capacity, with increasing lithography intensity, fuel the strong growth of our business as we transition to an increased mix of EUV
• ASML and our supply chain partners are actively adding capacity to meet future customer demand
Our strategy aims to deliver long-term growth and stakeholder value
• With strong demand for our products and execution of our strategic priorities, we have increased confidence in our long-term growth opportunities while continuing to deliver value to our stakeholders
• Our ESG Sustainability Strategy builds on achieved performance improvements and details how we contribute to a digital and sustainable future, in close collaboration with our partners

 


LOGO

End-market demand
Lithography market Strategy
Sustainability


LOGO

Global    megatrends shaping the connected world
Slide 4
29 Sept. 2021
5G    AI
Cloud    5G & ArtificialIntelligentGaming, simulation
infrastructure    intelligence edge& visualization
Sources: Intel, “Engineering the future”, March 23, 2021 / NVIDIA, Investor Day 2021 / AMD, Corporate Presentation 2021    Public

 


LOGO

The future will be all    about distributed computing
Slide 5
29 Sept. 2021
Public
network
Bringing the cloud closer    
to devices at the edge    
Privacy
Performance
Personalization
Cloud    Edge cloud On device
Private
networks
Source: Ziad Asghar, Qualcomm, “Qualcomm AI leading the way with distributed intelligence, Embedded vison summit, Sept 2020     Public

 


LOGO

The world’s transition to 5G is just starting    
Lower latency, higher bandwidth will enable a connected world    Slide 6
29 Sept. 2021
(human-to-machine and machine-to-machine)    
10     250
)By 2026, global 5G
9     $
subscriptions are
(billion)    8 200(billionestimated to top 3.5
7     5Gbillion with
infrastructure
6     150
technology     spendinginvestment of $150B
by    5 4G
By 2030, that
4     100infrastructureinvestment is expected
subscriptions    3 3G5Gto grow to $250B
2     50This transformation
2G has only just begun
Mobile    1 Cumulative
0     0
2015    2016 2017 2018 2019 2020 2021 2022 2023 2024 2025 2026
Sources: Ericsson Mobility Report, June 2021; Nokia CMD2021     Public

 


LOGO

The electronics industry just keeps going
Slide 7
29 Sept. 2021
There are an estimated 40 billion    
connected devices in use today    
Roughly 5 for every person on the planet (7.8 billion people)
In ten years, this number    
is expected to grow to    350 billion
That’s 41 per person (assuming population growth to 8.5 billion)
…generating huge amounts of data:    175 zettabytes
(that’s 175,000,000,000,000,000,000,000 bytes) by 2025
Source: International Data Corporation (IDC)     Public
7


LOGO

ASML operates in an industry value chain that has considerable    
means, with strong incentives to compete and drive innovation    Slide 8
29 Sept. 2021
50 top technology companies in our ecosystem generated $493 billion of EBIT in 2020    
ASML     AMATLAMTELKLA
4.8     4.63.42.61.7Semi Equipment
19.2     23.75.94.3 3.4 1.7 1.31.20.7 Semi
Manufacturers
7.8    5.1 4.5 1.5 1.4 0.930.6DevicesASML
SemiPeers
AnalogDesignSemi
Non-Semi
12.53.8 3.9 3.42.8 2.7 2.3 2.2 1.9 1.11.21.0
74.3     Hardware
5.95.1 3.16.2
In our ecosystem, EBIT
has grown at an annual
rate of more than 10%
since 2016
60.2     41.232.725.522.915.214.6 7.63.32.4 2.12.1Total EBIT, US$ Billion
Software
& Service+14%
®
493
443 400
371+23%
295
2016 1718 19 2020
Source: Bloomberg (GICS 45 classification); companies’ annual reports, and ASML analysis     Public
8


LOGO

Countries push for “technological sovereignty”
Slide 9
29 Sept. 2021
Biden doubles down on a $50 billion plan to invest in chips
Fortune (April 2021)
EU aims to be independent chip power with 20% global share
Nikkei (March 2021)
South Korea joins global chipmaking race with $450 billion spending plan
Fortune (May 2021)
Japan lays out National Project for chips after lost decades
Bloomberg (June 2021)
China wants to boost disruptive semiconductor technologies
Shanghai Daily (May 2021)
9


LOGO

Government funding may boost investments    
Beyond industry CapEx of $150B per year, which may lead to cyclicality    Slide 10
29 Sept. 2021
$52B     $15B$80B
US chipmaking and R&D    EU Industrial Alliance on Microelectronics China National IC Industry Investment
Incentives for semiconductor manufacturing    Combined public and private investment $24-$35B. Fund II ($35B)
National Semiconductor Technology Center    Goal: rebuild Europe’s capacity to produce high- Provincial Funds ($45B)
Goal: regain global leadership position on    quality microelectronics. Goal: China makes 70% of the chips it uses by
advanced chip manufacturing     2025
The industry continues to underestimateJapan Ministry of Economy, Trade &
end market demand and therefore we    Industry (METI) $1.8B government fund for $2.2B
advanced semiconductors and $0.4B funding for
would like to have additional capacity    advanced chipmaking technology.
The additional infrastructure created from
this spending will be managed rationally    ‘Korean Semiconductor Belt’
by a few very large manufacturers    Loans for increasing 8-inch foundry capacity $0.8B
and investments in materials, components,
equipment and packaging.
Source: IC Insights, European Commission, Technode, ASML Government Affairs team    
10


LOGO

Semi end markets expected to grow 7% longer-term    
Slide 11
Smartphone (B$)    Consumer electronics (B$) Personal computing (B$)29 Sept. 2021
+6.7%+2.6%
210+7.8%
116    161 155 98100119 110132
115    144 155 16069102 120109 113
108    106 486466 67 7083 78 93 86
76 87     36 35 43 43 48
15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30    15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30
Wired & wireless infrastructure (B$)    Servers, datacenters & storage (B$) Total Semiconductor, B$ 187Forecast +5.9%+8.0%941 4763110112 37     44 4749 518176 9297 99+7.4%
32 30 31    36 38 6361
42 42 627 626 667 571605 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30    15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30
476 466 Automotive (B$)    Industrial electronics (B$) 422 422 335 341 +15.7% 131+10.2%119 73 806575 81
42     50 58 645250 5867
30 34 39    41 39 37 35 4650
CAGR
15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30    15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 302020-2025
Source: ’19-’25: Gartner 2Q21 Forecast (Jun30, 2021); 2030: ASML extrapolation of data to 2030 using ’15-’25 Compound Annual Growth Rate (CAGR)     Public
11


LOGO

Translating to growth of wafer demand in    all segments
Slide 12
29 Sept. 2021
2015 20202025CAGR
1.. 7
1.1+9.9%
Logic & MPU    
(£ 28 nm)    0.5
1.8
1.2 1.4+5.2%
DRAM    
2.1
1.5 1.6+5.7%
NAND    
million wafer starts/month
PCs and laptops    Smartphones and tablets ServersAutomotiveConsumer incl. wearablesOther
Source: Gartner device units 2017-2022; ASML model extrapolated through 2025     Public
12


LOGO

Advanced and mature nodes drive investments in wafer capacity:    
~500k wafers/month per year 2020—2025, CAGR >5%     Slide 13
29 Sept. 2021
140     • Growing wafer capacity across
equiv    120 NANDall market segments drives
NANDincreased litho demand
300mm    100 DRAM
yr)     DRAM• Mature nodes (³40nm) continue
fer/    80 to grow in both 200mm and
wa     Adv Logic 300mm
60    
(million     MatureMarketGrowth ‘20-’25CAGR ’20-’25
40     NAND+100 kwspm/y 5.7%
MatureDRAM+80 kwspm/y 5.2%
Capacity    20 Adv Logic +125 kwspm/y 9.9%
Mature+200 kwspm/y 3.9%
0    
2010    2015 20202025Total+505 kwspm/y 5.2%
kwspm/y = x1000 wafer starts per month per year
Source: ASML analysis, Adv Logic = Advanced Logic £28nm     Public
13


LOGO

End-market demand
• Lithography market
Strategy
Sustainability
14


LOGO

Litho market expected to see continued strong growth    
Market size value worldwide revised upwards     Slide 15
29 Sept. 2021
Original (2018) CAGR     Revised (2021)
CAGR
1997-2010    2010-2017 2017-2025E2017-2025E
Semi end markets
Expected to show
6.2%    4.9% 4.9%above average growth5.4%
Semi CapEx
WFE market growing faster to build
capacity to fulfill strong(er) future end
4.6%    7.4% 3.5%market demand5.9%
Lithography CapEx
Lithography to outgrow13.8%
6.6%     7.5%overall WFE market
3.4%    
Single    Multi EUV
patterning    patterning
Source: 2018 Analyst Day; Semi-WSTS.org/Gartner, CapEx-Gartner, Litho: SEMI.org/VLSI     Public
15


LOGO

Capital intensity* outlook supports strong semi growth    
and lithography intensity increasing over time     Slide 16
29 Sept. 2021
CapEx to support period of strong semi growth    Litho growth faster than total WFE
35%     30%
Litho/WFE Equipment
30%    
25%
25%    
Other20%
22%
intensity 20%     CapEx equipment
15%     Packageof 15%
Capital     /test%
10%     as 10%
Litho    2015 20202025Non-litho
intensity    1.7% 3.0%3.7%WFELitho
5%    
5%
Litho
0%    
1997 2001 2005 2009    2013 2017 202120250%
19972001 200520092013201720212025
*Capital intensity = CapEx/Semi Revenue.    | Sources: Gartner, WSTS.org, SEMI.org VLSI Public
16


LOGO

EUV increasing contribution of net system sales    
Slide 17
29 Sept. 2021
2020    2025 estimate
Metrology &    
Inspection    
Metrology &    Dry
Inspection    
Dry    
EUV    ArFi
ArFi    EUV
Pie size reflects expected revenue growth    
Source: ASML analysis     Public
17


LOGO

2025 growth scenarios supported by expansion of    
capacity and productivity increase of our systems    Slide 18
29 Sept. 2021
DUV capacity growth 2020—2025    EUV capacity growth 2020—2025
Number of units    Number of units
~1.5x    >2x
Wafer capacity*    Wafer capacity*
~2x    >3x
Note: excluding refurbished systems & field upgrades    Note: excluding capacity for 0.55 EUV
Driver    Goal
Build faster    Drive cycle time reduction >35% (EUV); >10% (DUV)
More people/tooling    20% growth in people to support 2025 operations
Increase production space    Increase production space 25% (EUV / DUV)
Increase productivity of machines    Productivity improvements 25%-60%
*Wafer capacity=units x productivity     Public
18


LOGO

Semiconductor and equipment demand provide    growth
opportunity into the next decade     Slide 19
29 Sept. 2021
Semiconductors versus wafer fab equipment
1200     160
Semiconductors
1000     140
B)     Wafer Fab Equipment120 B)
$    800 $
(     100 (
sales    600 80 sales
400     60
Semi     40 WFE
200     20
0     0
2000    2005 2010 2015202020252030
Source: VSLI Research- Sept 2021     Public
19


LOGO

End-market demand Lithography market
• Strategy
Sustainability
20


LOGO

Execution on our strategic priorities since    2018
Slide 21
29 Sept. 2021
Original ASML strategy (2018) Progress
Strengthen Litho+ leadership with in-device metrology •Delivered YieldStar 1375 and 1385 in-device
Holistic Litho     enabling correction of process induced overlaymetrology and eP5 e-beam metrology solutions
extension    • Build a leading position in Pattern Fidelity Control leveragingto extend overlay and EPE control
e-beam metrology and inspection combined with superior litho •Delivered eScan1000, the first multi-beam
and fast stages e-beam inspection system
Drive DUV performance•NXT:2000 and 2050i in volume manufacturing
DUV     •Continue to lead in innovation•Dry to NXT Platform (ArF)
performance     •Drive operational cost down and improve uptime
• Expand installed base business
Deliver on high volume manufacturing, service and•Accelerated EUV roadmap, NXE:3400C and
EUV     financial performanceNXE:3600D insertion in Logic and DRAM HVM
industrialization    • Enhance EUV value for future nodes by extending NA 0.33•Service model in place and generating revenue
product portfolio down to the 3nm Logic node
Enable High-NA EUV at 3nm Logic node, followed by Memory•High-NA facilities in place and modules
nodes at comparable density underway
High-NA    
Public
21


LOGO

ASML’s strategic priorities moving forward    
Slide 22
29 Sept. 2021
Refreshed ASML Strategy (2021) Plans
Strengthen    • Enhance execution capabilities to meet customers’ needs•Deliver cost, performance and robustness to
customer     while delivering on our commitment to sustainabilitymeet customer needs
trust     •Expand our ESG strategy around nine themes to
further drive sustainability
Holistic Litho    • Build a leading position in Edge Placement Error•Combine YieldStar and eP5 e-beam metrology
and     with solutions to extend overlay and EPE monitor
applications     and control
•Extend multibeam e-beam productivity for HVM
Drive DUV performance and market share•Extend immersion capability
DUV     •Dry to NXT platform (KrF)
performance    
EUV    • EUV high volume production performance, ramp and support•Execute EUV roadmap (3600D, 3800E, 4000F)
industrialization     •Drive good wafers out: productivity increase and
availability improvements
Enable litho simplification for future nodes •Drive commonality across EUV platforms
High-NA     •High-NA (0.55 EUV) ready for HVM in
2025Public
22


LOGO

End-market demand Lithography market Strategy
• Sustainability
23


LOGO

How we contribute to a digital, sustainable future
Slide 24
29 Sept. 2021
Our Purpose Digital technology helps society book social progress Unlocking the potential of people and society by and can help cut global emissions by 15% in 2030 pushing technology to the new limits.
Our Vision We develop lithography technology to continue to We enable groundbreaking technology to solve some produce microchips that are three times of humanity’s toughest challenges. more energy-efficient every two years
Our Mission
Together with our partners, we provide leading We help our customers minimize materials and patterning solutions that drive the advancement of energy required to produce advanced microchips microchips.
Our Values We drive a roadmap towards zero waste by 2030, We Challenge, Collaborate and Care. net zero value chain emissions by 2040, with a diverse and engaged group of world-class talents and partners
24
Public


LOGO

Our ESG Sustainability strategy    focuses on 9 themes
For long-term stakeholder value creation and contribution to UN SDGs*    Slide 25
29 Sept. 2021
Environment    1. Energy Efficiency 2. Circular
& Climate Action     Economy
3. Attractive     6. Valued
4. Innovation    5. Responsible
Social    Workplace for Partner for Our
Ecosystem    Supply Chain
All     Communities
Governance    7. Integrated 8. Stakeholder9. Transparent
Governance    Engagement Reporting
* United Nations Sustainable Development Goals     Public
25


LOGO

ASML commits to achieve net zero emissions by    2040
in close collaboration with our suppliers and customers     Slide 26
29 Sept. 2021
Category    Improvement
2020 emissions    lever 20202025203020402050
2    
&    
1    Manufacturing & â–ªReduce energyNet
â–ªUse green energy
Scope    buildings â–ªCompensate emissionszero
0.015 Mt CO2e    
Continue to drive energy efficiency & renewable energy
Business travel    â–ª Reduce energyNet
â–ªUse green energy
& commuting    â–ª Compensate emissionszero
<0.1 Mt CO2e*     Global aim for
net zero by 2050
3    
Sourcing &    Supply chain collaboration on Net
z
Scope    supply chain roadmap towards net zero emissionsero
~3 Mt CO2e     Continue collaboration on Net Zero activities Net Product use    Semiconductor Industry collaboration on roadmap towards net zero emissions zero ~5 Mt CO2e**     *Business Travel & Commuting exceptionally low in 2020 due to COVID-19. 2019 emissions: 0.21 Mt CO2 equivalent     **Product use emissions is based on lifetime emissions (20 years) for systems sold in 2020 – in line with GHG protocol     Public
26


LOGO

Rating agencies value ASML’s    ESG performance
Slide 27
29 Sept. 2021
Bottom     Top
(DJSI)    0 100
81
0     100
84
CCC     AAA
AAA
High     Low
risk     1risk
F     A
C
Source: Latest available scores (2020 or 2021) from respective rating agencies     Public
27


LOGO

Industry megatrends are fueling market growth into the future
• Global megatrends in the electronics industry, supported by a highly profitable and fiercely innovative ecosystem, are expected to continue to fuel growth across the semiconductor market
• Countries push for technological sovereignty will drive increased capital intensity
• Translating to increased wafer demand at both advanced and mature nodes.
Enabling ASML’s strong growth opportunity into the next decade
• Investments in wafer capacity, with increasing lithography intensity, fuel the strong growth of our business as we transition to an increased mix of EUV
• ASML and our supply chain partners are actively adding capacity to meet future customer demand
Our strategy aims to deliver long-term growth and stakeholder value
• With strong demand for our products and execution of our strategic priorities, we have increased confidence in our long-term growth opportunities while continuing to deliver value to our stakeholders
• Our ESG Sustainability Strategy builds on achieved performance improvements and details how we contribute to a digital and sustainable future, in close collaboration with our partners
28


LOGO

Forward Looking Statements
Slide 29
29 Sept. 2021
This presentation contains statements that are forward-looking, including statements with respect to expected industry and business environment trends including expected growth, outlook and expected financial results, including expected net sales, gross margin, R&D costs, SG&A costs and effective tax rate, annual revenue opportunity for 2025, financial model for 2025 and assumptions and expected growth rates and drivers, expected growth including growth rates 2020-2025 and 2020-2030, total addressable market, growth opportunities beyond 2025 and expected annual growth rate in lithography and metrology and inspection systems and expected annual growth rate in installed base management, expected trends in addressable market up to 2030, expected trends in Logic and Memory revenue opportunities, long term growth opportunities and outlook, expected trends in demand and demand drivers, expected benefits and performance of systems and applications, semiconductor end market trends, expected growth in the semiconductor industry including expected demand growth and capital spend in coming years, expected wafer demand growth and investments in wafer capacity, expected lithography market demand and growth and spend, growth opportunities and drivers, expected trends in EUV and DUV demand, sales, outlook, roadmaps, opportunities and capacity growth and expected EUV adoption, profitability, availability, productivity and output and estimated wafer demand and improvement in value, expected trends in the applications business, expected trends in installed base management including expected revenues and target margins, expected trends and growth opportunity in the applications business, expectations with respect to high-NA, the expectation of increased output capacity, plans, strategies and strategic priorities and direction, expectation to increase capacity, output and production to meet demand, the expectation that Moore’s law will continue and Moore’s law evolution, product, technology and customer roadmaps, and statements and intentions with respect to capital allocation policy, dividends and share buybacks, including the intention to continue to return significant amounts of cash to shareholders through a combination of share buybacks and growing annualized dividends and statements with respect to ESG commitment, sustainability strategy, targets, initiatives and milestones. You can generally identify these statements by the use of words like “may”, “will”, “could”, “should”, “project”, “believe”, “anticipate”, “expect”, “plan”, “estimate”, “forecast”, “potential”, “intend”, “continue”, “target”, “future”, “progress”, “goal” and variations of these words or comparable words. These statements are not historical facts, but rather are based on current expectations, estimates, assumptions and projections about our business and our future financial results and readers should not place undue reliance on them. Forward-looking statements do not guarantee future performance and involve a number of substantial known and unknown risks and uncertainties. These risks and uncertainties include, without limitation, economic conditions; product demand and semiconductor equipment industry capacity, worldwide demand and manufacturing capacity utilization for semiconductors, semiconductor end-market trends, the impact of general economic conditions on consumer confidence and demand for our customers’ products, performance of our systems, the impact of the COVID-19 outbreak and measures taken to contain it on the global economy and financial markets, as well as on ASML and its customers and suppliers, and other factors that may impact ASML’s sales and gross margin, including customer demand and ASML’s ability to obtain supplies for its products, the success of R&D programs and technology advances and the pace of new product development and customer acceptance of and demand for new products, production capacity and our ability to increase capacity to meet demand, the number and timing of systems ordered, shipped and recognized in revenue, and the risk of order cancellation or push out, production capacity for our systems including the risk of delays in system production and supply chain capacity, constraints, shortages and disruptions, trends in the semi-conductor industry, our ability to enforce patents and protect intellectual property rights and the outcome of intellectual property disputes and litigation, availability of raw materials, critical manufacturing equipment and qualified employees and trends in labor markets, geopolitical factors, trade environment; import/export and national security regulations and orders and their impact on us, ability to meet sustainability targets, changes in exchange and tax rates, available liquidity and liquidity requirements, our ability to refinance our indebtedness, available cash and distributable reserves for, and other factors impacting, dividend payments and share repurchases, results of the share repurchase programs and other risks indicated in the risk factors included in ASML’s Annual Report on Form 20-F for the year ended December 31, 2020 and other filings with and submissions to the US Securities and Exchange Commission. These forward-looking statements are made only as of the date of this document. We undertake no obligation to update any forward-looking statements after the date of this report or to conform such statements to actual results or revised expectations, except as required by law.
Public
29


LOGO

ASML SMALL TALK 2021 30