EX-99.3 5 v056246_ex99-3.htm
Exhibit 99.3

ASML, Industry Partners Advance EUV Development 
 
BARCELONA, Spain, October 17, 2006 - ASML Holding NV (ASML) and partners in the semiconductor lithography supply chain provided an update today on their progress towards the commercialization of extreme ultraviolet (EUV) lithography.
 
In August 2006, ASML shipped the industry's first full-field EUV exposure tools to R&D centers in Europe and the United States. The shipments of these Alpha Demo Tools followed ASML's demonstration of key lithography performance targets for EUV development, including full-field imaging and overlay. In addition, ASML has received the first order from a customer for a pre-production EUV system.
 
“The delivery of our EUV Alpha Demo Tools and the milestones reached by our EUV-partners will support further development of EUV technology,” said Martin van den Brink, executive vice president, marketing and technology, ASML. “EUV pre-production tools could be shipping as early as 2009 if customers need them.”
 
Gathered for the 2006 International Symposium on Extreme Ultraviolet Lithography, several industry leaders highlighted their respective EUV technology progress in areas that are critical to EUV development and its eventual commercialization. Together, these suppliers are striving to ensure that EUV lithography will become a viable exposure technology for the 32-nanometer (nm) node and below.
 
Carl Zeiss SMT AG has successfully manufactured the optical trains for the ASML Alpha Demo Tools, having met all key specifications. The entire EUV optics infrastructure has been built, and technology development in mirror fabrication, coatings, mechatronics, and system metrology is on track for the shipment of EUV production tool optics near the end of the decade.
 
Cymer Inc. is committed to the commercialization of EUV source technology for high-volume manufacturing lithography applications beginning with the 32-nm node in 2009. Significant advances in Laser Produced Plasma (LPP) technology have been realized that indicate scaling to meet the performance and reliability requirements of a commercially viable EUV production tool, will be achieved in the required timeframe. In addition to many Cymer specific innovations, they have established partners to create an industry infrastructure for the production of key components such as Normal Incidence Collectors to insure availability of all required critical technology.
 
Philips Extreme UV GmbH supplied the two EUV sources for the ASML Alpha Demo Tools, which will provide the data needed to prepare EUV sources for high volume manufacturing. The company continues to improve the power output of its sources based on its inherently scalable architecture. Production-worthy reliability is the next challenge for source providers. Early results show that Philips’ electrode concept allows for this.
 
Rohm and Haas Electronic Materials said that it has achieved major breakthroughs this year. “The selection of our MET-2D photoresist for use in ASML's Alpha Demo Tool easily demonstrated 40-nm, 1:1 feature resolution,” said Jim Thackeray, Rohm and Haas Electronic Materials Technology Fellow. “In addition, we’ve developed resists that extend line/space resolution to 35-nm, contact hole resolutions to sub-40-nm, and a chemical amplification resist with 25-nm resolution.”
5

 
Toppan Photomasks, as the supplier of photomasks for ASML's EUV Alpha Demo Tools, is committed to working with the lithography supply chain on the continued development of EUV technology. "We are confident that through continued collaboration with our customers, technology leaders such as ASML and other companies, we will be able to provide a high-quality, commercial supply of EUV masks in support of the industry's roadmap," said Franklin Kalk, executive vice president and CTO.
 
European light source supplier XTREME technologies GmbH reported that following delivery of its commercial discharge produced plasma (DPP) EUV sources in 2003, it has continued within a multi 10 million EURO program to build integrated source/collector solutions delivering usable intermediate focus power in the 10-watt range. Shipment of first units will start in December 2006. In addition, XTREME technologies is pursuing its high power concept targeting a volume production worthy 100-watt EUV source design to be introduced in 2008.
 
Some of the EUV R&D programs in Europe have been organized through MEDEA+, a European program funded by national governments of the Netherlands, Germany, France and Belgium; and the IST program known as More Moore supported by the European Commission, involving more than 100 companies, institutes and universities.
 
For more information about the progress of EUV technology and the companies driving it forward please visit the following company Web sites:
 
ASML: http://www.asml.com
 
Carl Zeiss: http://www.smt.zeiss.com
 
Cymer: http://www.cymer.com
 
Philips: http://www.philips.com
 
Rohm and Haas Electronic Materials: http://electronicmaterials.rohmhaas.com
 
Toppan Photomasks: http://www.photomask.com
 
XTREME technologies: http://www.xtremetec.de
 
 
ASML
 
Tom McGuire
Vice President Communications
corpcom@asml.com 
tel: +31.40.268.5758
fax: +31.40.268.3655 ASML
 
Angelique Paulussen
Senior Director Corporate Communications
corpcom@asml.com
tel: +31.40.268.6572
fax: +31.40.268.3655 ASML
 
6


 
 
Craig DeYoung
Vice President Investor Relations
craig.deyoung@asml.com 
tel: +1.480.383.4005
fax: +1.480.383.3976 ASML
 
Franki D'Hoore
Director European Investor Relations
franki.dhoore@asml.com 
tel: +31.40.268.6494
fax: +31.40.268.3655
 

 
 
 
 
 
 
7