EX-99.2 3 dex992.htm PRESS RELEASE- AURORA(R) LOW-K Press Release- Aurora(r) Low-k
LOGO   LOGO

ASM INTERNATIONAL AND IMEC DEMONSTRATE THREE GENERATIONS OF AURORA® LOW-K MATERIALS

BILTHOVEN, THE NETHERLANDS, AND LEUVEN, BELGIUM, December 6, 2006—ASM International N.V. (NASDAQ: ASMI and Euronext Amsterdam: ASM) and IMEC announce that they have demonstrated three generations of ASM’s Aurora® low-k and SiC dielectric barrier materials for IMEC’s 300mm pilot line. These results have been established within the framework of the ASM—IMEC strategic partnership on Back-end-of-Line (BEOL) interconnect technology, which was announced on July 12, 2005. In this strategic partnership, IMEC and ASM will develop novel copper/low-k interconnects on 300mm wafers for application in chips of the nanotechnology era, with feature sizes of 45nm or less.

“The Aurora materials are known for their superior mechanical properties,” comments Tominori Yoshida, ASM’s Business Unit Manager for PECVD. “Better mechanical properties, such as elastic modulus and hardness, and small pore size, usually makes materials more suitable for integration in a multi-layer interconnect and packaging”, he continues. As with the first generation material, the next generations Aurora ultra low-k materials have also been demonstrated by IMEC to have excellent mechanical properties with, for example, an elastic modulus exceeding 9 GPa at a k value of 2.5, and with a pore diameter less than 2nm. These porous Extreme Low-k layers have been successfully patterned by IMEC with ArF immersion lithography into features suitable for 45nm to 32nm device interconnect wiring.

“We are pleased that we can offer our partners the low-k materials and technologies that they need, not only for our baseline interconnect process but also for advanced development for 45nm and 32nm technology. To this end, IMEC collaborates with leading equipment and materials suppliers worldwide to offer our IDM partners all possible options for future technology development” stated Luc Van den hove, IMEC’s Vice President Silicon Process and Device Technology.

As part of the continuing strategic partnership, IMEC and ASM will research multi-layer integrations of Aurora® Extreme Low-k films (k<2.5) and the feasibility of

 


LOGO   LOGO

 

even lower k-values, suitable for sub 32 nm technologies. ASM has installed two Eagle® platforms in IMEC’s 300mm pilot line to provide low-k deposition capabilities for Aurora® materials with k values ranging from about 3.0 to about 2.3, and SiC dielectric barrier layers with k values ranging from about 5.0 to about 3.8. This set of available materials spans multiple generations of low-k implementations down to the 22nm technology node.

About ASM

ASM International N.V. and its subsidiaries design and manufacture equipment and materials used to produce semiconductor devices. The company provides production solutions for wafer processing (Front-end segment) as well as assembly and packaging (Back-end segment) through facilities in the United States, Europe, Japan and Asia. ASM International’s common stock trades on NASDAQ (symbol ASMI) and the Euronext Amsterdam Stock Exchange (symbol ASM). For more information, visit ASMI’s web site at www.asm.com.

About IMEC

IMEC is a world-leading independent research center in nanoelectronics and nanotechnology. Its research focuses on the next generations of chips and systems, and on the enabling technologies for ambient intelligence. IMEC’s research bridges the gap between fundamental research at universities and technology development in industry. Its unique balance of processing and system know-how, intellectual property portfolio, state-of-the-art infrastructure and its strong network of companies, universities and research institutes worldwide position IMEC as a key partner for shaping technologies for future systems.

As an expansion of its wireless autonomous microsystems research, IMEC has created a legal entity in the Netherlands. “IMEC-Nederland” runs activities at the Holst Centre, an independent R&D institute that develops generic technologies and technology platforms for autonomous wireless transducer solutions and systems-in-foil.

IMEC is headquartered in Leuven, Belgium, and has representatives in the US, China and Japan. Its staff of more than 1450 people includes more than 500 industrial residents and guest researchers. In 2005, its revenue was EUR 197 million. Further information on IMEC can be found at www.imec.be.

Safe Harbor Statement under the U.S. Private Securities Litigation Reform Act of 1995: All matters discussed in this statement, except for any historical data, are forward-looking statements. Forward-looking statements involve risks and uncertainties that could cause actual results to differ materially from those in the forward-looking statements. These include, but are not limited to, economic conditions and trends in the semiconductor industry generally and the timing of the industry cycles specifically,


LOGO   LOGO

 

currency fluctuations, the timing of significant orders, market acceptance of new products, competitive factors, litigation involving intellectual property, shareholder and other issues, commercial and economic disruption due to natural disasters, terrorist activity, armed conflict or political instability, epidemics, and other risks indicated in the Company’s filings from time to time with the U.S. Securities and Exchange Commission, including, but not limited to, the Company’s reports on Form 20-F and Form 6-K. The Company assumes no obligation nor intends to update or revise any forward-looking statements to reflect future developments or circumstances.

Contacts ASM :

Ivo Raaijmakers, + 31 30 229 8587

Mary Jo Dieckhaus, + 1 212 986 29 00

Contacts IMEC

Katrien Marent +32 16 281 880