425 1 a2084387z425.txt 425 FILED BY VEECO INSTRUMENTS INC. FILED PURSUANT TO RULES 165 AND 425 PROMULGATED UNDER THE SECURITIES ACT OF 1933, AS AMENDED, AND DEEMED FILED PURSUANT TO RULE 14a-12 PROMULGATED UNDER THE SECURITIES EXCHANGE ACT OF 1934, AS AMENDED SUBJECT COMPANY: VEECO INSTRUMENTS INC. COMMISSION FILE NO.: 0-16244 POWER POINT PRESENTATION USED IN CONNECTION WITH THE INVESTOR CONFERENCE CALL HELD ON JULY 12, 2002 HOSTED BY VEECO AND FEI TO DISCUSS THE MERGER TRANSACTION INVOLVING VEECO AND FEI. VEECO FEI DEFINITIVE MERGER AGREEMENT ANNOUNCEMENT [VEECO FEI LOGO] CREATING A NEW WORLD LEADER IN 3D METROLOGY AND PROCESS EQUIPMENT INVESTOR CONFERENCE CALL HELD ON JULY 12, 2002 SAFE HARBOR STATEMENT o STATEMENTS IN THIS PRESENTATION THAT ARE NOT HISTORICAL FACT AND THAT RELATE TO FUTURE PLANS OR EVENTS ARE FORWARD-LOOKING STATEMENTS WITHIN THE MEANING OF THE PRIVATE SECURITIES LITIGATION REFORM ACT OF 1995. THESE FORWARD-LOOKING STATEMENTS INCLUDE STATEMENTS ABOUT PROSPECTS FOR FUTURE GROWTH, THE SUCCESS OF THE PROPOSED MERGER, PRODUCT REVENUES, MARKET ACCEPTANCE OF TECHNOLOGY AND IMPROVED ECONOMIC CONDITIONS. THESE STATEMENTS ARE SUBJECT TO RISKS AND UNCERTAINTIES. FACTORS THAT COULD MATERIALLY AFFECT THE COMPANIES' OUTLOOK INCLUDE, BUT ARE NOT LIMITED TO, THE COMPANIES' ABILITY TO SUCCESSFULLY CONSUMMATE THE MERGER AND TO ACHIEVE THE ANTICIPATED BENEFITS OF THE MERGER, DOWNTURNS IN THE SEMICONDUCTOR MANUFACTURING MARKET, LOWER THAN EXPECTED CUSTOMER ORDERS, CANCELLATION OF CUSTOMER ORDERS, INCREASED COMPETITION AND NEW PRODUCT OFFERINGS FROM COMPETITORS, FAILURE OF THE COMPANIES TO INTRODUCE PRODUCTS AS PLANNED, FAILURE OF THE COMPANIES PRODUCTS AND TECHNOLOGY TO FIND ACCEPTANCE WITH CUSTOMERS, AS WELL AS BUSINESS CONDITIONS AND GROWTH IN THE ELECTRONICS INDUSTRY AND GENERAL ECONOMY, BOTH DOMESTIC AND FOREIGN. ADDITIONAL FACTORS THAT COULD MATERIALLY DECREASE REVENUES PROSPECTS AND MARKET ACCEPTANCE INTERNATIONALLY INCLUDE FLUCTUATIONS IN INTEREST AND EXCHANGE RATES (INCLUDING CHANGES IN RELEVANT FOREIGN CURRENCY EXCHANGE RATES BETWEEN TIME OF SALE AND TIME OF PAYMENT) AND CHANGES IN TRADE POLICIES AND TARIFF REGULATIONS. MOREOVER, THERE IS NO CERTAINTY THAT ECONOMIC CONDITIONS WILL IMPROVE IN THE NEAR FUTURE. THESE AND OTHER FACTORS COULD CAUSE ACTUAL RESULTS TO DIFFER MATERIALLY FROM THE FORWARD-LOOKING STATEMENTS WE REFER YOU TO VEECO'S AND FEI'S 10-Q AND 10-K FILINGS MADE WITH THE SECURITIES AND EXCHANGE COMMISSION. FOR ADDITIONAL INFORMATION ON RISK FACTORS, WHICH COULD CAUSE ACTUAL RESULTS TO DIFFER MATERIALLY FROM OUR CURRENT EXPECTATIONS. [LOGO] [LOGO] 2 VAHE SARKISSIAN [LOGO] [LOGO] Chairman, President and CEO FEI Company 3 BILLION-DOLLAR MERGER AN EXCITING NEW COMPANY: VEECO FEI INC. o Propelling new company, Veeco FEI, to top tier ranks and leadership in 3D metrology o 3D metrology: fastest growing segment in metrology space, which is fastest growing part of semiconductor and data storage markets o Doubles size and strengthens leadership in surface and sub-surface 3D metrology; key enablers in deep sub-micron device development and manufacturing [LOGO] [LOGO] 4 ROBUST TECHNOLOGY & MARKETS o Rich technology portfolios and intellectual property o Companies serve similar markets with little or no overlapping products o Companies leverage 3D technologies across multiple markets o Merger extends market reach, improves R & D efficiency, accelerates "lab to fab" penetration and creates new growth opportunities [LOGO] [LOGO] 5 CRITICAL MASS o Merger intended to yield near-term SG&A synergies o Merger should bring critical mass to sales and support channels o Combination aims to produce higher efficiencies and accelerates growth [LOGO] [LOGO] 6 LEADERSHIP o Respective management teams have proven track records for growth and profitability o Merger creates platform from which combined management team will seek higher levels of growth, profitability and capitalization than possible separately [LOGO] [LOGO] 7 [LOGO] ED BRAUN [LOGO] Chairman, President and CEO Veeco Instruments Inc. 8 VEECO FEI DEAL STRUCTURE o $1B transaction o Stock for stock: 1.355 Veeco shares for each FEI share o 73 million shares post transaction o Accretive to Veeco 2003 proforma street consensus estimate o Closing expected Q4 2002 pending shareholder approval and government regulatory review o Post-transaction ownership: o 45% FEI shareholders o 40% Veeco shareholders o 15% Philips Business Electronics International N.V. (major FEI shareholder) [LOGO] [LOGO] 9 VEECO FEI LEADERSHIP o Veeco is the surviving accounting entity o Experienced Management Team: o Chairman of the Board, Chief Strategy Officer -Vahe Sarkissian o Chief Executive Officer and President -Ed Braun o CFO, Executive Vice President, Finance -Jack Rein o 13-member Board of Directors (10 independent directors) o 7 members from Veeco, including Ed Braun o 5 members from FEI, including Vahe Sarkissian o 1 member from Philips Business Electronics International N.V. o Balanced management team - includes senior managers from each company o Headquarters: Woodbury, NY [LOGO] [LOGO] 10 A STRATEGICALLY COMPELLING MERGER: VALUE OF CRITICAL MASS AN EXCITING NEW COMPANY: VEECO FEI INC. o Distinct leadership in 3D Metrology and Process Equipment o Larger critical mass with a clear technology leadership position in its complementary core products with virtually no product overlap o Combined 2001 revenue of $825M, Veeco FEI will be: o 3rd largest U.S. provider of Semiconductor Metrology equipment with a very unique product focus o 6th largest U.S. company serving the Semiconductor equipment industry o Combined 2001 EBITA $127M o A strong balance sheet with $476M in cash [LOGO] [LOGO] 11 A STRATEGICALLY COMPELLING MERGER: VALUE OF CRITICAL MASS AN EXCITING NEW COMPANY: VEECO FEI INC. o Both companies have strong historical revenue and profit growth track records...both in fact, were profitable in a difficult 2001 market o All of this, with stronger worldwide presence, larger combined customer base and an experienced combined management team [LOGO] [LOGO] 12 A STRATEGICALLY COMPELLING MERGER: VALUE OF CRITICAL MASS AN EXCITING NEW COMPANY: VEECO FEI INC. o SEMICONDUCTOR, DATA STORAGE AND TELECOM CUSTOMERS DESIRE DEEPER STRATEGIC RELATIONSHIPS WITH FEWER SUPPLIERS (GREATER CRITICAL MASS) o Extended worldwide support; Sales/Service/Process/Logistics o ALLOWS DEEPER TECHNOLOGY ROAD MAP REVIEWS WITH KEY CUSTOMERS o Broader product offerings o Supplier becomes an extension of customers R&D process development o Shorter customer "time to market" ramp [LOGO] [LOGO] 13 VEECO FEI: BREAKING AWAY FROM THE PACK CREATION OF NEW, LARGER CAP SEMI EQUIPMENT COMPANY ALLOWS WIDER INVESTOR INTEREST, ENHANCED SHAREHOLDER VALUE AND IMPROVED LIQUIDY [GRAPHIC] VEECO FEI BECOMES THE 6TH LARGEST IN PEER GROUP (#1 IN 3D METROLOGY, AFM, FIB, TEM, SEM COMBINATION) [LOGO] [LOGO] 14 INCREASED METROLOGY CONTENT DRIVES HIGHER MARGINS
----------------------------------------------------- ------------------------------------------------------------- 2001 REVENUES 2001 GROSS MARGINS* ------------- ------------------- PROCESS PROCESS METROLOGY EQUIPMENT METROLOGY EQUIPMENT COMBINED --------- --------- --------- --------- -------- VEECO $172M $277M VEECO 52% 41% 45% 38% 62% FEI $376M FEI 49% 100% ----------------------------------------------------- ------------------------------------------------------------- VEECO FEI $548M $277M VEECO FEI 50% 41% 47% 66% 34% ----------------------------------------------------- ------------------------------------------------------------- CHANGES MIX TO 2/3 METROLOGY; 1/3 PROCESS EQUIPMENT GROSS MARGIN INCREASES 2%
* Excluding one time charges [LOGO] [LOGO] 15 METROLOGY SPENDING INCREASES WITH TECHNOLOGY TRANSITIONS o Metrology is increasingly important as feature sizes shrink and new materials such as copper and low-k dielectrics are introduced [GRAPHIC] 1997 o Additional process layers and new materials cause new defect failure modes including both surface and embedded defects, driving demand for Veeco FEI tools [GRAPHIC] 2001 o Veeco's industry-leading AFM's, once used in labs are now used in production, a transition path that FEI's tools are following [GRAPHIC] 2004 o Veeco FEI's combined semiconductor metrology revenues in 2001 approximately $240M [GRAPHIC] PROCESS DIAGNOSTIC EQUIPMENT AS A % OF WAFER FAB EQUIPMENT SOURCE:VLSI [LOGO] [LOGO] 16 VEECO FEI OFFERS DISTINCT 3D METROLOGY PRODUCTS
3D METROLOGY TEM, SEM 2001 WAFER DEFECT (SURFACE AND FILM SURFACE FAILURE REVENUE* INSPECTION ANALYSIS CD-SEM BURIED LAYER) THICKNESS PROFILING ANALYSIS ----------------------------------------------------------------------------------------------------------- KLA TENCOR $1.419B X X X X X ----------------------------------------------------------------------------------------------------------------------------------- APPLIED MATERIALS $378.4M X X X ----------------------------------------------------------------------------------------------------------------------------------- VEECO FEI $241.1M X X X X X ----------------------------------------------------------------------------------------------------------------------------------- THERMA-WAVE $ 97.9M X ----------------------------------------------------------------------------------------------------------------------------------- RUDOLPH $ 75.9M X ----------------------------------------------------------------------------------------------------------------------------------- ADE $ 68.9M X ----------------------------------------------------------------------------------------------------------------------------------- NANOMETRICS $ 39.2M X -----------------------------------------------------------------------------------------------------------------------------------
*U.S. company revenues in Semiconductor Equipment Source: VSLI [LOGO] [LOGO] 17 SYNERGY IN TECHNOLOGY AND MARKETS o Combined products strengthen leadership in Semiconductor, Data Storage, Telecom and Scientific Research sectors o Market and product diversification help offset industry cyclicality o Veeco FEI technologies are complementary (virtually no overlap): broad Ion Beam and narrow focused Ion Beam, surface and sub-surface 3D metrology (defects and buried layers) o Corporate cultures are similar: technology-focused, profit driven, with a breadth of products serving research and production applications backed by strong customer support [LOGO] [LOGO] 18 SIGNIFICANT IMPACT TO OUR SHARED CORE MARKETS o SEMICONDUCTOR: Veeco provides a leadership surface profiling Atomic Force Microscope (AFM), FEI offers a broad line of 3D Metrology tools from failure analysis through in-line fab production process control; together we provide unique surface and sub-surface 3D Metrology o DATA STORAGE: FEI's focused ion beam (FIB) and electron beam Metrology tools complement Veeco's full suite of Ion Beam Process Equipment (etch and deposition) o SCIENTIFIC RESEARCH: The combined companies provide "state of the art" Metrology tools based on ion, electron beam, and atomic force microscopy technologies; products seen as "industry standard"; measurement and imaging equipment are building blocks for next generation emerging markets o TELECOM/WIRELESS: Expanded product line for applications [LOGO] [LOGO] 19 DATA STORAGE-TECHNOLOGY TRANSITIONS DRIVE RETOOLING o Areal density continues to grow 100% annually, driving the need to retool every five years o Unlike semiconductors, the technology roadmap for thin film heads is more closely held, giving a marketing advantage to incumbents o Veeco has industry's largest installed base > 2000 tools o Metrology is increasingly important in achieving high yields for advanced thin film heads o Veeco FEI will offer a broad line of process and metrology tools with almost no product overlap and combined 2001 revenue of approximately $200M o Data storage market counter-cyclical with semiconductor market, providing important diversification [LOGO] [LOGO] 20 SCIENTIFIC RESEARCH- TOMORROW'S ADVANCED TECHNOLOGIES IN LABS TODAY o Veeco FEI's ion and electron beam and atomic force microscopy technologies will provide a broad suite of Metrology products to address advanced and emerging markets o Life Sciences o Nanotechnology o Industrial and University Research o State of the art Veeco FEI research products have a high gross margin o Combined Veeco FEI 2001 sales of $272M o Nanotech market expected to exceed $1T by 2010* o Less volatility, good growth opportunities, stable cash flow *SOURCE: EVOLUTION CAPITAL, UK [LOGO] [LOGO] 21 BROAD PENETRATION OF HIGH GROWTH MARKETS o Combined products allow broader penetration of core growth markets o Market segments will be [GRAPHIC] more balanced o Market diversification will help offset industry cyclicality o Greater penetration of higher margin Metrology markets than Veeco [LOGO] [LOGO] 22 STRONG WORLDWIDE SALES AND SERVICE CHANNELS o Greater international presence and process support o Larger presence in Asia/ Pacific, Japan and Europe o Improved strategic positioning with key accounts o Increased market share [GRAPHIC] o Broader and more competitive product offerings o 7 x 24 service, sales and technical support, 32 offices, 775 sales and service engineers o Able to leverage sales and service expenses over a larger revenue base [LOGO] [LOGO] 23 BROADER PENETRATION OF KEY INDUSTRY ACCOUNTS WITH DIFFERENT PRODUCTS VEECO FEI MORE IMPORTANT SUPPLIER TO ITS TOP CUSTOMERS WITH NON-COMPETING PRODUCTS (5 SEMICONDUCTOR) (5 DATA STORAGE)
------------------------------------------------------------------------------- TOP 10 CUSTOMERS FOR COMBINED VEECO FEI VEECO FEI ------------------------------------------------------------------------------- IBM CHECK CHECK ------------------------------------------------------------------------------- SEAGATE CHECK CHECK ------------------------------------------------------------------------------- INTEL CHECK ------------------------------------------------------------------------------- HEADWAY CHECK CHECK ------------------------------------------------------------------------------- TDK CHECK ------------------------------------------------------------------------------- READ-RITE CHECK CHECK ------------------------------------------------------------------------------- TSMC CHECK CHECK ------------------------------------------------------------------------------- ALPS CHECK ------------------------------------------------------------------------------- AMD CHECK ------------------------------------------------------------------------------- SAMSUNG CHECK -------------------------------------------------------------------------------
New top 10 customer for Veeco FEI [LOGO] [LOGO] 24 JACK REIN [GRAPHIC] Executive Vice President and CFO Veeco Instruments Inc. [LOGO] [LOGO] 25 INTEGRATION PLAN o Phase I (0 to 6 months) o Integrate a combined world wide field Sales/Service organization o Achieve $8-10M annual synergistic cost savings o Maximize combined companies $100M new product R&D programs aligned to high growth market opportunities o Consolidate material purchasing activity (supplier management) [LOGO] [LOGO] 26 INTEGRATION PLAN o Phase II (6 to 18 months) o Define common Veeco FEI customer hardware/software platforms (improved cost of ownership) o Extend product offerings in core markets o Add core technologies for growth opportunities [LOGO] [LOGO] 27 FINANCIAL ASPECTS OF MERGER o Improved P&L through higher margin metrology content: 2001 historic combined, excluding non-recurring charges o Sales $825M o GP% 46.7% o EBITA $127M o EBITA % 15.4% o Headcount 2,900 o Strong Balance Sheet: (3/31-02 historic combined) o Cash $476M o Long-term Debt $410M o Shareholders' Equity > $1,300M o Synergy-cost savings opportunity $8 to $10M annually: o Most of the savings will come from S, G & A cost reductions o Purchasing savings o R&D; e.g. software development efficiencies o Geographic synergies [LOGO] [LOGO] 28 OPERATING MODEL OPERATING MODEL
2001 Historic Long-term Veeco 2001 Combined* Target ------------- -------------- ---------------- Net Sales 100% 100% 100% Gross Margin 45% 47% 50% SG&A 19% 19% 17% R&D 13% 12% 13% EBITA 13% 15% 20%
*EXCLUSIVE OF NON-RECURRING CHARGES, DISCONTINUED OPERATIONS AND AMORTIZATION [LOGO] [LOGO] 29 VEECO FEI: VALUE CREATION FOR OUR SHAREHOLDERS o Clear leader in key product areas (3D Metrology, AFM, FIB, as well as ion beam processing equipment) o Margin benefit of increased Metrology sales o Immediately accretive to 2003 pro-forma Street consensus o Veeco FEI to penetrate "top tier" semiconductor capital equipment ranks o Strong balance sheet to finance future growth o Poised for market upturn with broadened product line o Positioned to capitalize on synergies o Expanded management breadth and experience [LOGO] [LOGO] 30 SEMICONDUCTOR MARKET [GRAPHIC] [LOGO] [LOGO] 31 DATA STORAGE MARKET [GRAPHIC] [LOGO] [LOGO] 32 TELECOMMUNICATIONS MARKET [GRAPHIC] [LOGO] [LOGO] 33 RESEARCH MARKET [GRAPHIC] [LOGO] [LOGO] 34 Caution Required by Certain SEC Rules In connection with their proposed merger, Veeco and FEI will be jointly preparing a proxy statement/registration statement on Form S-4 containing a prospectus relating to the shares to be issued to FEI stockholders and will be filing such joint proxy statement/registration statement on Form S-4 containing a prospectus relating to the shares to be issued to FEI stockholders with the SEC as soon as practicable. Investors and security holders are urged to read this document when it becomes available because it will contain important information about the proposed merger. Investors and security holders may obtain copies of this document, when it has been filed with the SEC, as well as other SEC filings of Veeco and FEI, free of charge from the SEC's website at www.sec.gov as well as from the applicable company by directing a request to Investor Relations for Veeco, at (516) 677-0200, Ext. 1403 and to Investor Relations for FEI, at (503) 640-7500 Ext. 7527. Veeco and its executive officers and directors may be deemed to be participants in the solicitation of proxies from the Veeco and FEI stockholders with respect to the Veeco/FEI transaction. Information regarding such individuals is included in Veeco's proxy statement dated April 9, 2002 relating to its 2002 annual meeting of stockholders, available free of charge from the SEC and Veeco as indicated above. FEI and its executive officers and directors may be deemed to be participants in the solicitation of proxies from the FEI and Veeco stockholders with respect to the Veeco/FEI transaction. Information regarding such individuals is included in FEI's proxy statement dated April 17, 2002 relating to its 2002 annual meeting of stockholders, available free of charge from the SEC and FEI as indicated above. Veeco and FEI will each be filing with the SEC, within a few days, current reports on Form 8-K containing the full text of their merger agreement. These filings will be available free of charge from the SEC and the applicable company as identified above.